论坛首页    职业区    学术与写作    工程技术区    软件区    资料区    商务合作区    社区办公室
 您好! 欢迎 登录注册 最新帖子 邀请注册 活动聚焦 统计排行 社区服务 帮助
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
  • 1468阅读
  • 3回复

求助!谁有3DEC4.10破解版软件发一个谢谢! [复制链接]

上一主题 下一主题
离线yingli0928
 

发帖
30
土币
365
威望
64
原创币
0
只看楼主 倒序阅读 使用道具 楼主  发表于: 2013-12-30
那位哥们儿有3DEC4.10破解版软件发我一个  !非常感谢!    谢谢    yingli0928@126.com          
毕业论文做模型     求助!
离线edelmann

发帖
2975
土币
53409
威望
7629
原创币
0
只看该作者 1楼 发表于: 2013-12-30
没听说谁有这个。
离线yingli0928

发帖
30
土币
365
威望
64
原创币
0
只看该作者 2楼 发表于: 2014-01-01
回 edelmann 的帖子
edelmann:没听说谁有这个。
 (2013-12-30 17:36) 

找到了!   谢谢啦
离线geofem

发帖
3409
土币
2908
威望
6877
原创币
0
只看该作者 3楼 发表于: 2014-01-01
呵呵,求软件也达到zsoil板块啦?
3DEC4.10,离散元软件,itasca出品,解决岩体问题
ZSOIL2D/3D QQ群:68722113
岩土工程数值分析开发平台,共享、开放、合作、提高。
技术博客:http://blog.sina.com.cn/u/2650713094
快速回复
限100 字节
温馨提示:欢迎交流讨论,请勿纯表情、纯引用!
 
上一个 下一个

      浙公网安备 33010602003799号 浙ICP备14021682号-1

      工业和信息化部备案管理系统网站